|
|
|
³í¸®È¸·Î ¼³°è- µðÄÚ´õ, ÀÎÄÚ´õ¿¡ ´ëÇؼ |
|
|
|
|
|
|
|
|
Å°¿öµå : |
|
|
¼Ò°³±Û |
³í¸®È¸·Î ¼³°è- µðÄÚ´õ, ÀÎÄÚ´õ¿¡ ´ëÇؼ |
¿ä¾à |
1. °³ ¿ä ¡Û °¡»ê±â ¼³°è¸¦ ÅëÇÑ Àü¹ÝÀûÀÎ Modelsim, Xilinx ISE »ç¿ë¹ý ½Ç½À ¡Û TEST bench, simulation ¹æ¹ý ÀÌÇØ
2. ¹® Á¦ (1) 3*8 Decoder -Behavioral modeling
library ieee; use ieee.std_logic_1164.all;
entity decoder is port (x : in std_logic_vector(2 downto 0); d : out std_logic_vector(7 downto 0)); end decoder;
architecture behavioral of decoder is begin process (x) begin case x is when "000" => d <= "10000000" ; when "001" => d <= "01000000" ; when "010" => d <= "00100000" ; when "011" => d <= "00010000" ; when "100" => d <= "00001000" ; when "101" => d <= "00000100" ; when "110" => d <= "00000010" ; when others => d <= "00000001" ; end case; end process; end behavioral;
-Data flow modeling
library ieee; use ieee.std_logic_1164.all;
entity decoder_dataflow is port( x: in std_logic_vector(2 downto 0); d: out std_logic_vector(7 downto 0):="00000000"); end decoder_dataflow;
architecture dataf |
|
|
|
|
À§ Á¤º¸¹× °Ô½Ã¹° ³»¿ëÀÇ Áø½Ç¼º¿¡ ´ëÇÏ¿© º¸ÁõÇÏÁö ¾Æ´ÏÇϸç, ÇØ´ç Á¤º¸ ¹× °Ô½Ã¹° ÀúÀ۱ǰú ±âŸ ¹ýÀû Ã¥ÀÓÀº ÀÚ·á µî·ÏÀÚ¿¡°Ô ÀÖ½À´Ï´Ù. À§ Á¤º¸¹× °Ô½Ã¹° ³»¿ëÀÇ ºÒ¹ýÀû ÀÌ¿ë, ¹«´ÜÀüÀç¹× ¹èÆ÷´Â ±ÝÁöµÇ¾î ÀÖ½À´Ï´Ù. ÀúÀÛ±ÇħÇØ, ¸í¿¹ÈÑ¼Õ µî ºÐÀï¿ä¼Ò ¹ß°ß½Ã ÇÏ´ÜÀÇ ÀúÀÛ±Ç Ä§ÇØ½Å°í¸¦ ÀÌ¿ëÇØ Áֽñ⠹ٶø´Ï´Ù. |
|